SEMICON Europa 2016

Search

Exhibitors (298)

3D-Micromac AG - Micromachining Excellence 3D-Micromac is the leading specialist in laser micromachining. Our team of experts develop processes, m... More...

30 years of know-how in semiconductor equipment maintenance & supply 40-30 provides high-tech equipment maintenance and repair covering a wide r... More...

ACCELONIX group focus is western Europe, for industrial and research institutions, working in all sectors of the electronics industry. Our service ... More...

ACCRETECH is a world-leading equipment supplier to the semiconductor industry. Key products are Wafer Probers, Dicing Systems, Dicing Blades, Po... More...

We are pleased to welcome you at Advantec Co., Booth! We are a worldwide silicon wafer supplier with customers all around the world, in Japa... More...

A world-class technology company, Advantest is a leading producer of automatic test equipment (ATE) for the semiconductor industry and a premier ma... More...

AEMtec offers a unique spectrum of high-end chip-level technologies. In our cleanroom facilities (ISO 5, ISO 7 and ISO 8) we apply a broad technolo... More...

Aeroton provides turnkey Assembly/Test services and custom machine solutions to the semiconductor industry. The founding CEO, Dr Chee k YEW and his... More...

AES motomation provides clean room handling solutions for the semiconductor, flat panel display and solar industry. We offer a variety of atmospher... More...

AFELIMrepresents in France all businesses involved in the printed electronics industry, that is: • laboratories specialised in printed electronics... More...

AG Semiconductor Limited (AG Semi) is one of the largest suppliers in the world of second-hand semiconductor manufacturing equipment. We specialize... More...

Agileo Automation specializes in industrial automation with a high expertise in software services for semiconductor and photovoltaic equipment manu... More...

For over 25 years AIS Automation Dresden GmbH has been supplying innovative software solutions for factory and production automation, equipment in... More...

Welcome to Booth 1409 to win an Apple Watch ! Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid The... More...

ALPSITEC situated in Grenoble, the French Silicon Valley, has developed a complete range of CMP tools. With 15 years’ experience in the CMP area... More...

Annealsys manufactures Rapid Thermal Processing and Chemical Vapor Deposition systems. RTP systems with cold wall chamber, high temperature lamp... More...

Antaios offers disruptive memory technology combining very high speed and non volatility, e.g. the capability to preserve data when power is turned... More...

AP&S designs and produces batch- and single wafer wet process equipment for surface treatment of substrates under cleanroom conditions for customer... More...

Applied Materials, Inc. is the global leader in providing innovative equipment, services and software to enable the manufacture of advanced semicon... More...

Applied Nanolayers (ANL) is the leading graphene and 2D materials foundry. ANL has developed its own foundry production platform for integratin... More...

For more than 25 years arias gmbh is specialized in development, design and manufacturing of manual and semi-automatic wet benches. The product ran... More...

Atotech’s semiconductor technology provides process solutions for advanced wafer metallization - from chip interconnects to wafer level packaging t... More...

As the leading Test Engineering company in the world, Averna is a strategic partner for electronic and communication product developers, helping th... More...

Besi is a leading supplier of semiconductor assembly equipment for the global semiconductor and electronics industries offering high levels of accu... More...

New Cosmos - BIE is already more than 55 years a leading manufacturer of stationary and portable gas detection equipment and systems, for applicati... More...

BRS develops optical and electrical measurement systems for inline quality assurance with focus on the thin wafer market. While this market is g... More...

Brooks is a leading worldwide provider of automation, vacuum and instrumentation solutions to the global semiconductor and related industries. The... More...

Brooks - The new leader in Semiconductor mass flow. Brooks Instrument is a company of highly trained specialists with service locations world-wide.... More...

Caeleste is a designer and supplier of “beyond state of the art” image sensors. The Caeleste team has an accumulated experience in image sensor ... More...

camLine provides and markets own software to support high-tech manufacutring excellence.LineWorks is a suite of powerful MES moduls that are tailor... More...

CE-MAT is dealing with cost-effective and innovative products for the semiconductor and solar industry. We offer customer specific solutions to you... More...

centrotherm clean solutions is a leading global supplier of equipment and technology for the treatment of gaseous, liquid and solid pollutants with... More...

centrotherm has been developing and realizing innovative thermal solutions for over 50 years. As a leading and globally operating technology group,... More...

The Knowledge Transfer group at CERN aims to engage with experts in science, technology and industry in order to create opportunities for t... More...

FRANCAIS :  Chimie Tech Services est distributeur de chimie et matériaux de spécialité pour l’industrie électronique microélectronique, entre autre... More...

Enabling the Smart, Connected Factory. Cimetrix Incorporated is a software company providing both products and services to precision equipment m... More...

The Marketplace for Microelectronics Innovation Dresden is home to the entire microelectronics value-added chain, from microchip design, high-vo... More...

ClassOne Technology designs and manufactures new advanced wet-chemical process tools - Electroplating Tools, Spray Solvent Tools, and Spin Rinse Dr... More...

Market leader in precision cleaning & coatings Thermal spray coatings Plasma spray coating HVOF Twin wire arc spray Flame spray ... More...

CMP is a service organization in ICs and MEMS for prototyping and low volume productions. CMP provides several regular and advanced CMOS tech... More...

Compugraphics is a leading manufacturer of photomasks for the electronics industry with over 45 years of experience. We supply customers all around... More...

L’humidification est un facteur essentiel dans de nombreuses applications pour garantir la stabilité de la production, la conservation des biens et... More...

CORIAL is a manufacturer of plasma etching and deposition equipment which contributes to innovation in wafer processing for the semiconductor indus... More...

CPS Europe Ltd is the  European entity of Concept Part Solutions Inc (CPS)  based in Zanker Road, San Jose CA. CPS has the Global license as sole p... More...

Critical Manufacturing empowers high performance operations for some of the most advanced manufacturers worldwide with innovative software technolo... More...

Exhaust Gas Abatement CS CLEAN SOLUTIONS® has more than 30 years of experience in the semiconductor industry and is your reliable partner for e... More...

The microsystems technology sector is one of the technological driving forces in the German economy. Microsystems and nanotechnology innovative dev... More...

DAS Environmental Expert is a leading environmental technology company that provides sustainable solutions for waste gas treatment. Our point-of... More...

DB Schenker provides the full range of logistics services for the hightech industry: Full service portfolio of door to door equipment logistics, c... More...

Digitron Engineering Services GmbH is an independent German consultancy and outsourcing partner for the service and support of High-tech front- and... More...

DISCO is a total solution provider for Dicing (Kiru), Grinding (Kezuru) and Polishing (Migaku) technologies. - Wafer dicing by blade, laser ... More...

Dockweiler is a leading global supplier to the semiconductor and the photovoltaic industry who has developed integrated tube systems to serve a wid... More...

DSP Valley is a European cluster of excellence in embedded technology solutions and smart systems, mainly active in Belgium and the Netherlands. It... More...

E+H was founded in 1968 and offers since 1978, for more than 38 years now, a wide variety of measurement tools for the Semiconductor and PV in proc... More...

e2v provides high quality image sensors, cameras and sub-systems which deliver high performance for our customers across a range of applications in... More...

EAG Laboratories offers world-leading analytical services and expertise to the semiconductor, LED and solar industries. EAG supports customers thro... More...

EASii IC designs and develops both Application-Specific Integrated Circuit (ASIC) and highly technical electronics. It allows clients to achieve... More...

EBARA is a global innovator / local provider of vacuum pumps, exhaust management and advanced semiconductor solutions. EBARA’s products are backed ... More...

edwards World-class solutions We offer a unique range of equipment solutions, support services and technical expertise to meet application need... More...

Else Kooi Laboratory http://www.ekl.tudelft.nl The Else Kooi Lab is the IC/MEMS processing facility of the TUDelft. It enables its custo... More...

Embecosm, the Compiler Toolchain Experts that provide open source compiler toolchain and silicon chip modelling services.  Among other tools, we su... More...

Entegris, Inc. (NASDAQ: ENTG) is a leading provider of yield-enhancing materials and solutions for advanced manufacturing processes in the semicond... More...

ePAK is a leading full service provider of semiconductor transfer and handling products. With an eminently experienced team, a centrally located ma... More...

EpiValence Ltd is a manufacturer of over 150 compounds of 43 elements from the periodic table. Our focus is to produce high quality precursors with... More...

EquipNet is the world's most comprehensive surplus asset management company. Whether it’s surplus asset tracking, equipment redeployment, sales ... More...

BROOKS Alignment Tools, Wafer Adapters for FOUPs, Pod Opener CORNING TROPEL Non-Contact Optical Metrology DAITRON Edge grinders with integrated pol... More...

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (... More...

"Welcome to the Thin Film Powerhouse." Home of the  BAK Evaporator, Evatec delivers thin film deposition platforms with batch, cluster  and "inline... More...

Fabmatics GmbH, with its headquarters in Dresden, is an experienced specialist for the automation of material flows and handling processes in the s... More...

The Faeth Group consolidates the businesses of the companies Faeth, HighQ-Factory and IQ Inspection & Qualification. Together they develop, manufac... More...

Fastree3D SA imaging 3D Time-of-Flight (ToF) sensors help recognize and measure the distance to fast moving objects in real-time. Fastree3D is a fa... More...

FEINMETALL is a leading manufacturer in the field of contact systems. We offer probe cards for wafertest in different technologies like  ViProbe®, ... More...

p { margin-bottom: 0.25cm; direction: ltr; color: rgb(0, 0, 10); line-height: 120%; text-align: left; }p.western { font-size: 12pt; }p.cjk { font-s... More...

FlexEnable has pioneered a flexible electronics technology platform that allows electronics made of organic materials to be manufactured on flexibl... More...

FLOWLINK manufactures a complete range of  products dedicaded to pure and corrosive gases  distribution for semi conductor and other hight – tech i... More...

The Ferroelectric Memory Company – FMC has recently been established in order to solve one of the most important hardware challenges in the age of ... More...

18 Fraunhofer institutes work together across Germany in order to give you technology and system developments in microelectronics and... More...

FRT offers a comprehensive range of automatable, SEMI compliant 2D and 3D surface measuring systems for the non-destructive investigation of topogr... More...

FUJIFILM Electronic Materials (Europe) is a leading supplier to the semiconductor IC market. Our product portfolio consists of the broadest line wo... More...

Since 1988, GEMU has been an industry leader in the production of high purity valves, measurement and control systems. Our dedication to quality ha... More...

Genes'Ink is a dynamic company that is developing, manufacturing and commercializing conductive and semi-conductive inks for the printed electronic... More...

GreenWaves Technologies is a fabless semiconductor start-up. It develops GAP8, the first IoT processor of the industry, which has a figure of merit... More...

The microelectronics sector represents over 25 000 jobs in industry and research as well as approximately 4 000 students in the Grenoble area. As o... More...

Page 1 of 3