SEMICON West 2016

Search

Products (431)

SpinLift-off

Power your performance today and tomorrow with the advanced metal lift-off process tool from AP&S More...

CleanStep Carrier & Box

Come and see our CleanStep Carrier Box at Booth #2345 or configurate your individual CleanStep with our new online configurator - www.ap-s.de More...

Chem 20™ Chemical Particle Counter

The new PMS Chem 20 Chemical Particle Counter is the world's most sensitive particle sensor for high purity process chemicals. More...

FactoryPro

FactoryPRO is a Complete Factory Operations Management Software which allows manufacturers to get a 360-degree view of the entire manufacturing ope... More...

metatron

metatron is a big data solution that can provide your equipments and manufacturing process with a clear sense of undestanding More...

Gemini-2 Dual Slurry Delivery System

The Axus Technology Gemini-2 Dual Slurry Delivery System delivers a continuous supply of two precisely controlled CMP slurries in an exceptionally ... More...

Trusval CO2-DI Water Delivery System

The Trusval Technology CO2 DI Water Delivery System provides high purity DI water that is also conductive, eliminating ESD risk in wet cleaning and... More...

HLF800 series

Ultrasonic Flow meter measures chemical or purified water in a tube without mechanical parts but by ultrasound. Good accuracy and high temperature ... More...

HUS-3

Portable Sonic Monitor Handy ultrasonic power monitor for daily maintenance. Easy to carry by Li-Po battery. More...

W-357-1MQB-SKC

Quartz vibration type megasonic cleaner optimized for Si wafer cleaning. Chemical resistant quartz vibration unit realizes various cleaning enviro... More...

EOTPR 2000

The EOTPR 2000 is a state of the art non-destructive fault isolation system for today's most demanding IC package failure analysis to isolate IC pa... More...

EOTPR 5000

The EOTPR 5000 is a THz based advanced IC package inspection system. The EOTPR 5000 offers high accuracy and high sensitivity inspection method to... More...

Vented Fasteners

Vented fasteners are designed to help eliminate virtual leaks, improve pump-down times, and enhance the performance of High Vacuum and Ultra High V... More...

WaferSense & ReticleSense Airborne Particle Sensor

New CyberOptics WaferSense® and ReticleSense® Advanced Airborne Particle Sensors now incorporate large particle sensing capability in the same devi... More...

Keylocking Inserts

Keylocking inserts provide a hard internal thread for soft materials or can be used for thread repair when the original threads are damaged. More...

Semiconductor computing systems

Computing systems for semiconductor data / image processing More...

Winter Turn Signal Gloves

Zackees’ award-winning Turn Signal Gloves are the latest, must-have safety innovation for winter cyclists and snow mobilers. More...

Turn Signal Gloves

Zackees’ award-winning Turn Signal Gloves are the latest, must-have safety innovation for cyclists. More...

Frontier, SHB/SHC, PFA Frontier

Innovation and Excellence in Safer Heating Solutions More...

Bubblers, Ampoules, and Containers

Optimal Precursor Delivery Systems for ALD & MOCVD Since 1986 More...

Rotary Union, Muti Port Rotary Sealing Unit

Muti Port Rotary Union - Vacuum and Pressure conditions - Media : Gas, Liquid - Port of No. : 1 ~ 14 More...

Rotary Feedthrough, Rotary Sealing Unit

Rotary, Reciprocating, Rotary at the same time Reciprocating, Multiple Rotary Co-axial, Muti-axial. ․ Media : Reactive gas, liquids, Etc. Temperat... More...

SHENMAO SMF-WB02 / SMF-WB51 Water Soluble Flux

SHENMAO Introduces SMF-WB02 / SMF-WB51 Water Soluble Flux SHENMAO SMF-WB02 / SMF-WB51 Water Soluble Flux's Low Viscosity, High Tackiness (slump ... More...

SHENMAO BGA and Micro BGA Bumping Solder Paste

SHENMAO Bumping Solder Paste PF608-PI-21 (Sn/Ag4.0/Cu0.5/x) and PF606-P-BS1 (Sn/Ag3.0/Cu0.5/x) aim to decrease voids in wafer bumping process, opti... More...

SHENMAO Introduces BGA and Micro BGA Solder Sphere

SHENMAO BGA Solder Spheres for PBGA, CBGA, TBGA, CSP and Flip Chip Assemblies are made to various diameters of 0.76, 0.6, 0.5, 0.45, 0.3, 0.25, 0.1... More...

Heli-Coil

Heli-Coil threaded inserts boast a high wearing tensile strength, low thread friction with tight tolerances, and a high surface quality as well as ... More...

InfiniteFocusSL

Measure form and roughness with only one system - fast and in high-resolution - in the laboratory or in a production near environment. More...

Dispatching by SYSTEMA Dispatcher

Dispatching is a common technique to control the facility production process from a logistical point of view. More...

Polyamide laser-sintering

quick and economical for design, prototype and series, rapid-prototyping and rapid manufacturing More...

Metal laser-sintering

LaserForm A6 metal serial party quick and economical More...

DuraFormTM FLEX laser-sintering

serial parts and rubber-like prototypes fast and economically More...

LAB ON CHIP

Little Things Factory supplies lab on chip components made of glass, quartz and glass-silicon composite materials. More...

MICROREACTORS

Microreactors can be built by the Little Things Factory in sizes up to 300mm x 300mm. The height is dependent on the number of function levels and ... More...

Pelliclized/Unpelliclized Reticle Cleaning System

Pelliclized and Unpelliclized Reticle Cleaning System with Robotic Load and Unload from SMIF Pod More...

High Volume Production

We have the specialized high efficiency machinery necessary to manufacture high volumes of work pieces at a level of precision and cost effectivene... More...

Inspection and quality verification

Using our state of the art inspection equipment and highly trained personnel we will ensure that your products are manufactured to the precision qu... More...

5 axis machining services

We can prototype designs of any complexity with industry leading turnaround times at unmatched quality and precision. More...

Plasma Enhanced Atomic Layer Deposition System

The NLD-4000 is a stand alone, PC controlled ALD system with LabVIEW software featuring four levels password-controlled user authorization. The sys... More...

Royce DE35-ST

Semi-automatic die sorter optimized for small lot production with simple, quick changeover and multiple device inspection options More...

Royce AutoPlacer MP300

Fully Automatic Die Sorter with wafer mapping capability, excelling in multi-project wafers, MEMS devices, and thin fragile die handling More...

Royce 600 Series Bond Test Instruments

Royce 650 Universal Bond Tester, Royce 620 Multitest Bond Tester, and Royce 610 Dedicated Wire Pull Bond Tester More...

SP 63-M Remote IR Butt Welding Tool

Ideal for final remote tie-in welds. Features a compact design for tight spaces, force controlled welding, automated clamp movement and control, a... More...

Pro-Vent Duct System

Pro-Vent® piping is designed and manufactured for ventilation and exhaust systems that transport corrosive fumes. It is superior in performance due... More...

Purad PVDF T-343 Zero Dead Leg Diaphragm Valves

Asahi/America has expanded the size range offering of our T-343 zero dead leg (ZDL) diaphragm valves in Purad® PVDF. Our partner, AGRU, is now mold... More...

Aries Pick-and-Place Handler

Kit compatible pick-and-place with MEMS handling capability More...

EVG® ComBond®

High-Vacuum Wafer Bonding Platform enabling emerging MEMS applications as well as electrically conductive and oxide-free covalent bonds at room or ... More...

EVG®50

Automated Metrology System for industry leading high-accuracy measurements More...

Agilent 7900 ICP-MS System

10x higher matrix tolerance, 10x wider dynamic range and 10x better signal to noise than the previous generation of ICP-MS. More...

UNITY-xr Thermal Desorption Unit

UNITY-xr™ is an industry-leading analytical​ TD instrument for the simultaneous analysis of VOCs, SVOCs and thermally labile compounds collected on... More...

SemDex A Wafter Metrology System

Fully-automated high-speed wafer metrology system that combines metrology and sorting functions in one tool. Enjoy simultaneous measurements and ve... More...

ExPak- nail-less collapsible plywood crate

Nefab ExPak is an exclusive line of nail-less, collapsible, strong plywood boxes. ExPak is ca 60% lighter compared to softwood crate of the same s... More...

Cleanroom Cube

Nefab C2C Cube is a long life returnable packaging system, with a universal inner cushioning concept, offering you fast packing and secure transpor... More...

Spin-Torque-Driven Magnetic Memory and Switching

Systems and methods to generate strong magnetic spin current that offer high efficiency/low power consumption, simplicity, and reliability for use ... More...

Advanced 300mm Integrated Silicon Photonics Dev

State of the art Process Know How to develop your advanced silicon photonics design. More...

Quanta-Clean Ultrasonic Tanks

Ultrasonic cleaning tanks for precision cleaning applications, Semiconductor, Medical and Optics devices. More...

HyperClean Megasonic Systems

Megasonic cleaning systems for single wafer and batch processes. More...

6200 AXI/WAXI

6200 AXI/WAXI is high speed 2D In-line Inspection System. 6200 AXI/WAXI detects various wire and other defects. NTI Tube attached in 6200 AXI/WAX... More...

SF160 FCT/NCT X-ray Inspection System

SF160 FCT/NCT is non-destructive inspection system for Semiconductor & Automotive Semicon. SF160 FCT/NCT has dual CT function that provides best CT... More...

6300 NTI X-ray Inspection System

6300 NTI is high speed 3D In-line Inspection System. 6300 NTI can detect various types of defects: BGA, Chip component, QFN, QFP and Lead filling.... More...

G5 Fully Automatic All-in-One Bonder

Generation 5 Fully Automatic All-in-One Bonder covers all current wire bonding processes. More...

SmartBonder G6

Generation 6 Fully Automatic Wire Bonder capable of ball, wedge and ribbon bonding. The most compact machine in our lineup has the latest F&K Devel... More...

The Cornell Cyborg Moth

Researchers at Cornell are thinking beyond the Internet of Things and into the Internet of Insects! By surgically implanting micro-electronics in ... More...

Asynchronous VLSI Chip Design and Architecture

Asynchronous systems and circuits, which use signals instead of clocks to indicate completion of an operation, can significantly reduce power consu... More...

Energy Efficient Servo Motors from WITTENSTEIN

cyber® dynamic line servomotors optimize energy efficiency. Equipped with absolute feedback in stainless steel housing, they deliver the highest pe... More...

Galaxie Drive System from WITTENSTEIN

Precision gearhead fused with a high performance motor forms an ultra-compact, hollow-shaft drive system with integrated Industry 4.0 connectivity.... More...

High Vacuum Servo Motors from WITTENSTEIN

Brushless servo motors for vacuum environment provide outstanding reliability and vacuum suitability. With high-grade stainless steel housing and s... More...

Seiwa Modus 12Z Digital Microscope

Integrated fully motorized digital microscope with 12x optical zoom More...

IR-2200 microscope system

This IR microscope system enables the user to inspect sub-surface images. More...

TDK Flip Chip Die Bonder

TDK high precision AFM 15 Thermosonic and Thermal Compression Flip Chip Die Bonders. TDK flip chip die bonder uses a micro scrub process to lower ... More...

Nano-ID® NPC10 Nano Particle Counter

The Nano-ID NPC10 is the first Condensation Particle Counter specifically developed for ultra-clean manufacturing environments. This instrument com... More...

Syringe Liquid Particle Sampler

The SLS Syringe Sampling System is ideal for applications where precise, small-volume sampling is needed. More...

N2 Purge Equipment Front End Module

The new Self-circulating Nitrogen Purge Equipment Front End Module (EFEM) uses a sealed enclosed frame with integrated TDK Load Ports that provides... More...

TAS300 J1 Load Port

The TAS300 is a wafer transport system for 300 mm diameter wafers and was developed to provide lower cost. In addition, door opening and closing fo... More...

Analytics - Website Traction

The value of the JULO exchange is correlated to the collective value of our registered member community. Our growth is as impressive as the qualit... More...

Key Features

We stand to equally empower all participants of the global marketplace. We create connections catered to you. More...

The New Marketplace

A TRULY TRANSPARENT, AUTOMATED, COMPETITIVE AND SELF-GOVERNED EXCHANGE FOR CAPITAL ASSET EQUIPMENT AND SERVICES. More...

ECLIPSE

Automated and modular and cluster platform performing front-side, back-side and edge inspection on un-patterned and patterned surfaces More...

ORION

The ORION® series of advanced metrology and inspection solutions ensure the full range of inspection and metrology of front-end manufacturing proc... More...

TMAP

TMAP is the most flexible tool for R/D & production which received last year the Award of Best metrology & inspection by the Jury of 3D Incites dur... More...

CP4 Bulk Gas Valve

Full flow valve with 1/3 the size, 1/5 the weight and 1/2 the price. Same flow rates as conventional bellows valves. More...

FHA-C PR

FHA-C PR hollow shaft actuators are available in 4 sizes with 3 reduction ratios. FHA-C-PR offers high-precision rotary positioning with improved o... More...

Harmonic Planetary(R) HPN Gearhead

Value Series Harmonic Planetary® Gearhead with Fast Delivery! Our servo mount gearheads with Quick Connect™ coupling enables our gearheads to conn... More...

KR3 Agilus

The KR 3 AGILUS is ideal for use in 600 x 600 mm automation cells. The KR 3 AGILUS is cost-effective, requires minimal maintenance and is highly re... More...

MVP 2020 DWMS

The MVP 2020 DWMS is MVP's latest Microelectronics and Packaging AOI solution. The MVP 2020 DWMS comes with optional Class 100 cleanroom configurat... More...

MVP 850 DWMS

The MVP 850 DWMS Lead Frames AOI solution is configured with MVP's integrated magazine loaders and unloaders for lead frame processing. More...

MVP 850

The MVP 850 Platform provides multiple Microelectronics and Packaging AOI inspection solutions for in-line and offline processes. More...

Virtual Wafer Fab

VWF enables users to perform advanced analysis tasks like design of experiments (DOE) or optimization, using any of the Silvaco simulators. More...

Victory Process

Victory Process is a general purpose 1D, 2D and layout-driven 3D process simulator for applications including: • Etching and Deposition • Impla... More...

SHA-Y AC Servo Actuator

SHA Series AC Servo Actuators now connect directly with Σ-7 servo drives from Yaskawa to communicate with MECHATROLINK-III and EtherCAT. SHA Actuat... More...

HITACHI S-9220 SMIF

Process: Metrology (CD-SEM) Wafer Size: 8" More...
Page 1 of 5